SI
SI
discoversearch

We've detected that you're using an ad content blocking browser plug-in or feature. Ads provide a critical source of revenue to the continued operation of Silicon Investor.  We ask that you disable ad blocking while on Silicon Investor in the best interests of our community.  If you are not using an ad blocker but are still receiving this message, make sure your browser's tracking protection is set to the 'standard' level.
Technology Stocks : Qualcomm Moderated Thread - please read rules before posting
QCOM 175.43+0.7%3:34 PM EST

 Public ReplyPrvt ReplyMark as Last ReadFilePrevious 10Next 10PreviousNext  
From: Jim Mullens8/26/2025 7:50:46 PM
1 Recommendation

Recommended By
John Hayman

  Read Replies (1) of 196995
 
Intc - Govt 10% stake...............................................................................
Q&A with Copilot - General discussion followed by 10 more specific questions.

General >>>>>>>>>

...........Note- TSMC is a near monopoly in advanced state of the art leading edge semiconductors.

Q ----was there a recent article discussing TMSC shareholders questioning why they don’t increase their prices since to match the higher margins of NVDA and the fact that TSMC is running at capacity with booking running out several years

A Yes, there was a recent piece out of Taiwan that touches exactly on that tension. According to Taiwanese media reports, TSMC is indeed planning to raise prices for its advanced 3nm and 5nm nodes, as well as for advanced packaging, due to overwhelming demand. Specifically:

  • 3nm node pricing is set to increase by over 5%
  • Advanced packaging (CoWoS) will see a 10–20% hike for 2025
The backdrop? TSMC’s utilization rates are maxed out, with bookings stretching well into 2026. Nvidia, which has locked in over 70% of TSMC’s advanced packaging capacity for 20252, has reportedly agreed to share profit margins with TSMC to secure priority access. That’s a rare move—effectively transferring some of NVDA’s margin upside to its foundry partner.

This has sparked shareholder chatter about whether TSMC is underpricing its strategic value, especially given:

  • Its monopoly position in advanced packaging
  • The margin disparity vs fabless giants like Nvidia
  • The multi-year backlog that gives it pricing power

Nvidia Locks in 70% of TSMC’s Advanced Chip Packaging for 2025 Amid AI Boom - EconoTimes

inspire2rise.com

Q... what are the major benefits to the US Govt and QCOM in having INTC as a TSMC viable competitor / second viable fab source for leading edge semiconductors

Strategic Benefits of Intel as a Viable Second-Source Foundry for Leading-Edge Nodes

U.S. Government Perspective

National Security & Supply Chain Resilience

  • Reduces reliance on Taiwan-based TSMC amid rising geopolitical risk.
  • Enables secure, onshore production for defense, aerospace, and critical infrastructure chips.
  • Validates CHIPS Act subsidies by creating a domestic alternative to foreign fabs.
Industrial Policy & Economic Sovereignty

  • Anchors U.S. semiconductor manufacturing and upstream supply chains.
  • Spurs workforce development and regional economic growth (Arizona, Ohio, Oregon).
  • Retains advanced process IP and know-how within U.S. borders.
Strategic Leverage & Cost Control

  • Creates pricing pressure on TSMC, especially as its margins lag fabless giants.
  • Enhances U.S. negotiating power in global supply chain diplomacy.
  • Reduces risk of overdependence on a single foreign supplier with multi-year backlog.
Qualcomm (QCOM) Perspective

Second-Source Flexibility

  • Mitigates allocation risk and geopolitical exposure tied to TSMC.
  • Enables dual-sourcing for mobile SoCs, automotive, RF, and edge compute.
  • Improves Qualcomm’s negotiating leverage on wafer pricing and priority access.
Cost Optimization & ASP Protection

  • Intel competition could temper TSMC’s pricing, especially at 3nm and below.
  • Qualcomm can co-optimize designs for Intel’s 18A node, potentially improving performance-per-dollar.
  • Reduces risk of margin compression from TSMC price hikes.
Co-Development & IP Synergies

  • Opportunity to influence Intel’s foundry roadmap for mobile and AI workloads.
  • Access to Intel’s advanced packaging (Foveros, EMIB) for differentiated form factors.
Strategic Diversification

  • Intel foundry could support Qualcomm’s diversification into PC, IoT, and automotive.
  • Long-term roadmap alignment with U.S.-based process nodes for AI and edge compute.
TSMC Shareholder Pressure & Pricing Dynamics

Capacity Saturation & Booking Backlog

  • TSMC’s advanced packaging (CoWoS) is fully booked into 2026; 70% allocated to Nvidia for 2025.
  • 3nm and 5nm nodes are running at near-full utilization.
Margin Disparity vs Fabless Giants

  • Nvidia reportedly agreed to share profit margins with TSMC to secure priority access.
  • TSMC’s gross margins (~53–55%) trail Nvidia’s (~70–75%), prompting shareholder calls for ASP hikes.
Implications for Qualcomm

  • Qualcomm faces rising wafer and packaging costs unless it diversifies sourcing.
  • Intel’s emergence as a viable alternative could blunt TSMC’s pricing power and restore margin headroom.
Mutual Reinforcement

Stakeholder

Strategic Benefit

Intel’s Role

U.S. Govt

National security, CHIPS ROI, supply chain resilience

Domestic anchor fab

Qualcomm

Dual-sourcing, cost leverage, roadmap influence

Alternate foundry partner

TSMC Shareholders

Push for ASP hikes to match NVDA margins

Intel competition tempers pricing

Nvidia

Margin-sharing to secure capacity

Sets precedent for fabless-foundry economics

More Specific >>>>>>>>>

1 - more importantly than capital investment, what does INTC need to compete with TSMC and gain semi fab contracts at the leading edge for smartphones and data center products

Intel’s potential 10% government stake—while symbolically important—won’t move the needle unless it’s paired with a radical operational and strategic overhaul. To truly compete with TSMC and win leading-edge fab contracts for smartphones and data center products, Intel needs to address five critical dimensions:

1. Process Technology Leadership

Intel’s roadmap hinges on delivering its 18A node by 2025, which it claims will leapfrog TSMC’s 2nm process. But credibility here requires:
  • Consistent execution: No more delays like the 10nm debacle.
  • High yield at scale: TSMC’s edge isn’t just node advancement—it’s reliable, high-volume output.
  • Packaging innovation: Intel must scale EMIB and Foveros to rival TSMC’s CoWoS and InFO for AI and HPC workloads.
2. Foundry Model Credibility

TSMC thrives as a pure-play foundry. Intel’s IDM 2.0 strategy is still unproven:
  • Customer trust: Intel must convince fabless giants (Qualcomm, Nvidia, Apple) it won’t prioritize its own chips.
  • IP ecosystem: Build robust PDKs, EDA tool support, and third-party IP libraries to match TSMC’s design enablement.
  • Operational transparency: Fabless clients demand predictable tape-out schedules and pricing.
3. Global Capacity & Geopolitical Leverage

TSMC’s U.S. and EU fabs are hedges against Taiwan risk. Intel must:

  • Deliver Arizona and Ohio fabs on time and at competitive cost.
  • Leverage CHIPS Act subsidies to offset CapEx and attract anchor customers.
  • Position itself as the Western alternative—not just for national security, but for commercial reliability.
4. Strategic Partnerships

TSMC’s customer base is a who’s who of tech. Intel needs:
  • nchor clients for its foundry (e.g., AWS, Microsoft, Meta).
  • Joint ventures or equity stakes from design firms to align incentives.
  • Collaborations in AI and HPC where packaging and interconnects matter more than raw node specs.
5. Financial Discipline & Cultural Shift

Intel’s $18.8B net loss in 2024 underscores the urgency:
  • Divest non-core assets and refocus on manufacturing excellence.
  • Flatten bureaucracy to accelerate decision-making.
  • Adopt a foundry-first mindset—every fab dollar must serve external customers, not internal legacy.
If Intel nails these five, the government stake becomes a strategic accelerant—not a bailout.

>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>

2)- “.....Intel’s roadmap hinges on delivering its 18A node by 2025...”

.....Be more date specific

A) Timeline ,

B) Any signs from INTC showing significant progress to that end?

C) How should potential INTC fab customers contracts be constructed to protect ll parties, - govt, INTC, customers

A. Intel 18A Node: Specific Timeline for 2025

Intel’s 18A node is now confirmed for mass production in late Q3 to early Q4 2025, with Panther Lake and Clearwater Forest as lead products2. Key milestones:

  • Q2 2025: Panther Lake and Clearwater Forest successfully booted OS post tape-out.
  • Q3 2025: Yields reached ~55%, projected to hit 70% by Q4, approaching TSMC’s 2nm yield levels.
  • PDK 1.0 released in July 2025, enabling external customers to begin final design work.
This aligns with Intel’s stated goal to reassert process leadership by mid-to-late 2025, with 18A as the cornerstone.

B. Signs of Significant Progress from Intel

Intel’s recent moves show real traction—not just PR gloss:

Technical Execution
  • RibbonFET + PowerVia successfully implemented in production silicon.
  • Panther Lake DDR memory already hitting target frequencies.
  • 18A delivers 30% density boost, 25% higher frequency or 36% lower power vs Intel 3.
Foundry Credibility
  • Microsoft signed a custom foundry deal on 18A.
  • Intel showcased an Arm-based reference SoC (Deer Creek Falls) on 18A to attract fabless clients.
  • EDA partners (Cadence, Synopsys) now support 18A flows.
Yield & Volume
  • Yield curve improving: 55% ? 70% by Q4 2025.
  • High wafer volumes expected through early 2030s.
Strategic Positioning
  • 18A is part of the U.S. Secure Enclave Program, signaling defense-grade trust.
  • Intel’s Arizona fab expected to enter high-volume production by year-end.
C. Contract Structuring for Intel Foundry Customers

To protect all parties—government, Intel, and customers—contracts should include:

A. Customer Protections

  • Yield-based pricing: Tie wafer cost to actual yield performance.
  • Roadmap SLAs: Enforceable timelines for tape-out, validation, and volume ramp.
  • IP indemnification: Intel must guarantee protection against process-induced IP leakage.
B. Government Safeguards
  • Warrant triggers: As structured, the government can acquire an additional 5% stake if Intel loses majority control of its foundry.
  • Secure Enclave carve-outs: Priority access for defense-grade workloads.
  • Clawback clauses: Reinstated if Intel fails to meet domestic manufacturing thresholds.
C. Intel’s Interests
  • Volume commitments: Customers must lock in multi-year wafer volumes to justify CapEx.
  • Design exclusivity windows: Intel can offer early access to packaging or interconnect IP.
  • Joint R&D pools: Shared investment in node-specific optimizations.
D. Transparency & Arbitration
  • Third-party audit rights: For yield, defect density, and roadmap adherence.
  • Neutral arbitration: For disputes over delays, IP conflicts, or pricing.
>>>>>>

3 ) Be more specific --- Wafer costs/yields compared to TSMC , size in dollars and years of Dollar commitments from fab custiomers

?? Wafer Cost Comparison: Intel 18A vs. TSMC 2nm

Node

Estimated Wafer Cost

Yield (Q3–Q4 2025)

Notes

TSMC 2nm

~$30,000 per wafer

~65% (SRAM >90%)2

No discounts; premium pricing for Apple, NVIDIA, AMD

Intel 18A

~$22,000–$25,000 (estimated)

~55% now ? 70% by Q4

Pricing aggressively to undercut TSMC; PowerVia advantage

  • Intel’s pricing strategy is deliberately disruptive, targeting hyperscalers and defense workloads.
  • TSMC’s pricing reflects premium positioning and limited early capacity (30k–35k wafers/month ramping to 60k by 2026).
Yield Trajectory

  • Intel 18A:
    • Q2 2025: ~50%
    • Q3 2025: ~55%
    • Projected Q4 2025: ~70%
  • TSMC 2nm:
    • Q3 2025: ~65% overall; SRAM yields >90%2
Intel trails slightly in yield but is closing the gap. PowerVia and RibbonFET are delivering tangible performance gains, especially for AI and HPC workloads.

Dollar Commitments & Contract Duration

Current Status
  • Committed external volume is “not significant” as of mid-2025 .
  • Most 18A volume is internal (Panther Lake, Clearwater Forest).
  • Microsoft and AWS have signed test chip agreements, but no confirmed multi-year dollar contracts have been disclosed6.
Intel’s Breakeven Target
  • Needs $2–5B in external foundry revenue to reach breakeven by 2027 .
  • Advanced packaging (e.g., EMIB, Foveros) may contribute a portion of this.
Contract Structure Outlook

Intel is pushing for:
  • 3–5 year volume commitments with yield-based pricing tiers.
  • Co-development agreements for 14A and future nodes.
  • Government-backed guarantees (e.g., CHIPS Act warrants, secure enclave carve-outs).
>>>>>>>>>>>>

4) Structured framework tailored for smartphone SoC customers such as QCOM, AAPL, AMD, Mediatek

Structure answer for smartphone SoC customers such as QCOM, AAPL, AMD, Mediatek

Here's a structured framework tailored for smartphone SoC customers—Qualcomm, Apple, AMD (via Xilinx IP or future mobile ambitions), and MediaTek—who may consider Intel Foundry Services (IFS) for 18A or future nodes. This covers wafer economics, contract structuring, and strategic alignment across a 5-year horizon.

Strategic Context: Why These Players Matter

Company

Role in Smartphone SoC

Foundry Status

Strategic Leverage

Qualcomm

Flagship Snapdragon, RF, modem

TSMC (primary), Samsung (secondary)

U.S. IP, geopolitical hedging

Apple

Full-stack SoC (A-series, M-series)

TSMC exclusive

Vertical integration, yield priority

AMD

Not in mobile SoC yet; potential via Xilinx IP or AI edge

TSMC (CPU/GPU), GlobalFoundries legacy

AI edge, packaging innovation

MediaTek

Value-tier SoCs, 5G modems

TSMC (primary), UMC (legacy nodes)

Cost-sensitive, volume-driven

Wafer Economics for Smartphone SoCs (2025–2027)

Node

Estimated Wafer Cost

Yield (Q4 2025)

Notes

TSMC 2nm

~$30K/wafer

~65–70%

Apple gets priority; others pay premium

Intel 18A

~$22K–25K/wafer

~70% (projected)

Pricing undercuts TSMC; PowerVia advantage

  • Intel’s pricing is aggressive, especially for U.S.-based customers or defense-aligned workloads.
  • Packaging costs (Foveros, EMIB) may add $2K–5K per wafer depending on integration complexity.
Contract Structuring for Smartphone SoC Customers

1. Volume & Yield-Based Pricing

  • Tiered pricing bands based on yield:
    • <60% yield: discounted wafer price
    • 60–70%: baseline pricing
  • Volume commitments: 3–5 year lock-in, minimum 10K wafers/month per SKU.
2. IP & Design Protections

  • Secure IP vaulting: especially critical for Apple and Qualcomm.
  • EDA toolchain escrow: guarantees reproducibility across nodes.
  • Joint packaging roadmap: for hybrid SoC + RF + AI accelerators.
3. Government-Backed Guarantees

  • CHIPS Act carve-outs: priority access to U.S. fabs during geopolitical disruption.
  • Warrant triggers: if Intel loses majority control of IFS, customers can renegotiate or exit.
  • Defense enclave access: for Qualcomm’s secure modem IP or Apple’s secure enclave.
4. Transparency & Arbitration

  • Third-party audit rights: for yield, defect density, and roadmap adherence.
  • Neutral arbitration: for disputes over delays, IP conflicts, or pricing.
Strategic Differentiators by Customer

Customer

Intel Advantage

Risk Factors

Suggested Contract Clause

Qualcomm

U.S. alignment, modem IP security

Yield risk, packaging complexity

Yield-based pricing + secure enclave access

Apple

Vertical control, packaging co-dev

Reluctance to diversify foundry

IP vaulting + roadmap SLAs

AMD

AI edge, packaging innovation

Mobile SoC unproven

Joint R&D pool + volume flexibility

MediaTek

Cost sensitivity, volume scale

Yield volatility

Tiered pricing + exit clauses

>>>>>>>>>>>>>>>>>

4 ) Break this down further for QCOM

....A commitment - $25K/ wafer @ 10K wafers / month per SKU for 3 – 5 years.

....B how many current wafters per month and SKUs from TSMC

—starting with a hypothetical Qualcomm commitment to Intel Foundry Services (IFS), then reconciling current Qualcomm volume and SKU footprint at TSMC.

A. Hypothetical Qualcomm Commitment to Intel (18A Node)

Assumptions

  • Wafer price: $25,000 per 300mm wafer
  • Volume: 10,000 wafers/month per SKU
  • Duration: 3–5 years
  • SKUs: Assume 2 flagship SoCs (e.g., Snapdragon 8 Gen 4 & Gen 5)
Total Commitment

Term

Monthly Spend

Annual Spend

Total Commitment

3 years

$500M/month

$6B/year

$18B

5 years

$500M/month

$6B/year

$30B

This assumes Qualcomm commits to two SKUs, each at 10K wafers/month. If packaging (e.g., Foveros) adds $3K/wafer, total cost rises by ~$720M/year.

B. Current Qualcomm Volume & SKU Footprint at TSMC

Volume Estimates

  • TSMC 3nm (N3E): Qualcomm expected to ramp Snapdragon 8 Gen 4 and Gen 5 on N3E in 2025–2026.
  • Estimated volume: ~10K–15K wafers/month per SKU
  • Total Qualcomm volume: ~20K–30K wafers/month across 2–3 SKUs
SKU Breakdown

Node

Key SKUs

Volume Estimate

N4/N5

Snapdragon 8 Gen 2/3

~10K wafers/month

N3E

Snapdragon 8 Gen 4/5

~10K–15K wafers/month

N6/N7

Mid-tier SoCs, RF, modems

~5K–10K wafers/month

Qualcomm likely runs 4–6 active SKUs at TSMC across nodes, with flagship SoCs consuming the lion’s share of advanced node capacity.

Strategic Implication

If Intel wants Qualcomm to shift even one flagship SKU to 18A, it must offer:

  • Yield guarantees (=70%)
  • Packaging parity with CoWoS
  • IP vaulting and RF integration support
  • Volume flexibility and roadmap SLAs
>>>>>>>>>>>>>>>.

5 ) What was TSMC 2024 Revenue by Major Customer (Unchanged)

Customer

Smartphone ($B)

Other Segments ($B)

Total ($B)

Notes

Apple

~$6.5

~$12.0

~$18.5

A18 Pro, M-series, modems

Qualcomm

~$9.2

~$3.8

~$13.0

SoCs, modems, auto

MediaTek

~$8.5

~$1.2

~$9.7

Dimensity, IoT

NVIDIA



~$18.0

~$18.0

AI/HPC

AMD



~$6.5

~$6.5

CPUs, GPUs

Broadcom

~$1.2

~$3.8

~$5.0

RF, networking

Others

~$3.3

~$11.7

~$15.0

Tensor, Kirin, misc

Total

~$29.8

~$57.5

~$87.3

Matches platform totals

>>>>>>>>>>>>

6) What was TSMCs 2024 SoC Volume by Vendor

Vendor

2024 Shipments (Est.)

Market Share

Segment Focus

MediaTek

~300M–320M

~34%

Entry & mid-range (Dimensity 6000–8400)

Qualcomm

~180M–190M

~21%

Premium & upper mid-range (Snapdragon 7/8 Gen 3)

Samsung

~35M–40M

~4%

Mostly internal Galaxy A/F/FE models (Exynos 1380/2500)

?? MediaTek leads in volume, Qualcomm dominates premium, Samsung struggles to stay relevant2.

7) SoC ASPs

... ,A) What were 2024 ASP Comparisons, B) 2025 projections

Vendor

ASP Range (USD)

Flagship ASP

Notes

MediaTek

$25–$155

~$155

Dimensity 9400 priced ~20–30% below Snapdragon 8 Gen 4

Qualcomm

$40–$190

~$180–190

Snapdragon 8 Gen 4 ASP up 25–30% YoY due to 3nm costs

Samsung

$20–$60

~$50

Exynos ASP suppressed by low yield and limited adoption

Qualcomm commands premium ASPs, but MediaTek is closing the performance gap at lower cost.

SP ranges listed above refer to application processor (AP) pricing for flagship smartphone SoCs sold by each vendor to OEM customers in 2024. Here's how it breaks down:

Vendor

ASP Range (USD)

Flagship ASP

Contextual Notes

MediaTek

$25–$155

~$155

Dimensity 9400 targeted premium tier, priced ~20–30% below Snapdragon 8 Gen 4

Qualcomm

$40–$190

~$180–190

Snapdragon 8 Gen 4 ASP rose 25–30% YoY due to 3nm node costs and premium positioning

Samsung

$20–$60

~$50

Exynos ASPs remained low due to poor yields and limited OEM adoption

These ASPs represent wholesale pricing to handset makers, not retail chip costs or BOM estimates. Qualcomm’s ASP premium reflects its dominant share in high-end Android flagships and early adoption of TSMC’s 3nm node. MediaTek’s Dimensity 9400 narrowed the performance gap while undercutting on price, especially in Chinese OEM flagships. Samsung’s Exynos line struggled with yield and traction, keeping ASPs depressed.

B 2025 Ramp Scenarios – Toggle View

Scenario A: Snapdragon 8 Gen 4 Ramp

  • OEM Adoption: Xiaomi, OnePlus, Samsung (S25 Ultra), ASUS ROG
  • Monthly Volume: 16M–18M units by Q2 2025
  • ASP Impact: Margins improve, ASP stabilizes ~$185
  • Risk: OEMs may skip Gen 4 due to cost; MediaTek gains in $600–$800 tier
Scenario B: Dimensity 9400 Expansion

  • OEM Adoption: Vivo, Oppo, Honor, Realme
  • Monthly Volume: 28M–30M units by Q2 2025
  • ASP Impact: Flagship ASP rises to ~$160
  • Risk: Limited traction in Western markets; lacks mmWave support
Scenario C: Samsung Retreats

  • Exynos 2500 Yield Issues: GAA 3nm process falters
  • S25 Series: Qualcomm wins full stack (except FE)
  • ASP Impact: Samsung ASP drops below $40
  • Risk: Samsung may outsource SoCs entirely by 2026
>>>>>>>>>

8) What were Qualcomm Snapdragon Smartphone Shipments – FY 2024

Quarter

Shipments (Millions)

Notes

Q1 FY24

~48.3M

Strong premium demand; Snapdragon 8 Gen 3 peak

Q2 FY24

~44.7M

Seasonal dip; mid-range refresh cycle

Q3 FY24

~43.2M

China recovery; Dimensity pressure intensifies

Q4 FY24

~50.1M

Snapdragon 8 Gen 4 ramp begins; Xiaomi/OnePlus early adoption

Total FY24

~186.3M

Full-year Snapdragon smartphone SoC shipments

Qualcomm’s fiscal year ends in September, so Q4 FY24 = July–September 2024.

Monthly Conversion
  • Annual Total: ~186.3M units
  • Monthly Average: $$ \frac{186.3M}{12} \approx 15.5M \text{ SoCs/month} $$
>>>>>>>>>>>>>>>>>>>>

9) Foundry Economics Comparison: QCOM Scenarios

Metric

Scenario 1: TSMC-Only

Scenario 2: Intel-Only

Scenario 3: Hybrid Split (70% TSMC / 30% Intel)

Avg Wafer Cost (3nm)

$20,000+

$22,000–$25,000 (subsidized)

Weighted Avg: ~$20,900

Yield (Initial Ramp)

65–70%

5–20%

Weighted Avg: ~55%

Cycle Time (Fab-to-Pack)

90–120 days

120–180 days

Weighted Avg: ~105 days

Packaging Capability

CoWoS, InFO, SoIC

EMIB, Foveros, PowerVia

Mixed; integration complexity

Design Migration Cost

Minimal (existing flow)

High (PDK adaptation)

Moderate; dual flow maintenance

Subsidy Leverage

NT$ credits, U.S. CHIPS

CHIPS Act + equity stake

Max leverage across both regimes

Strategic Control

Low (TSMC sets terms)

Medium (U.S. influence)

High (negotiation leverage)

Execution Risk

Low

High

Medium


Geopolitical Risk Overlay: QCOM Foundry Exposure

Risk Factor

TSMC-Only

Intel-Only

Hybrid

Taiwan Strait Escalation

High

Low

Mitigated

U.S. Export Controls

Medium

Low

Mitigated

Subsidy Volatility

Low

Medium

Balanced

Supply Chain Resilience

Medium

Low

High

Design Flow Fragmentation

Low

High

Medium

Political Favorability

Medium

High

High

>>>>>>>>>>>>>>>>>>>>>>>

10) structured wrap-up of Qualcomm’s foundry calculus—TSMC vs Intel—across your four dimensions:

1. TSMC Wafer Supply to Qualcomm (2025)

  • Volume Estimate: While exact figures are proprietary, industry estimates suggest QCOM consumes 25,000–35,000 wafers/month at TSMC across 4nm, 5nm, and 3nm nodes.
  • Node Allocation:
    • N4/N4P: Mid-range Snapdragon and modem chips
    • N3P: Flagship Snapdragon 8 Elite 2 (confirmed for H2 2025)
  • Capacity Pressure: TSMC’s 5nm and 3nm lines are fully loaded through mid-2025, driven by AI, QCOM, and MediaTek demand
2. Intel Foundry Integration Potential
  • Process Readiness:
    • 18A: In risk production; Intel demoed an Arm-based reference SoC ("Deer Creek Falls") with smartphone-style core topology
    • 14A: In development; Intel warns it may exit if anchor customers don’t commit
  • Integration Path:
    • Packaging First: QCOM could start with Intel’s EMIB/Foveros packaging for chiplets
    • Low-volume Trials: Begin with mid-tier SoCs or automotive-grade chips before flagship smartphone nodes
    • Political Leverage: U.S. stake in Intel and CHIPS Act subsidies may incentivize QCOM to place strategic orders
3. Cost & Economics Comparison

Metric

TSMC (2025)

Intel Foundry (2025)

3nm Wafer Cost

~$20,000+, rising 4–10%6

Unknown; likely subsidized

Yield

60–70% at N3P

5–20% at 18A (early estimates)

Labor & Currency

NT$ subsidy lowers cost

U.S. labor + higher overhead

Packaging Capability

CoWoS, InFO, SoIC

EMIB, Foveros, PowerVia

Volume Economics

High utilization, low margin

Low utilization, high fixed cost

Bottom line: TSMC remains more cost-efficient and reliable. Intel’s economics hinge on government support and strategic volume commitments.

4. Intel Readiness for QCOM Smartphone SoCs
  • Earliest Feasible Date: Late 2026 to early 2027, assuming:
    • 14A reaches commercial viability
    • QCOM adapts its design flow to Intel’s PDK
    • Yield and cycle time stabilize
  • Reference SoC Demo: Intel’s 18A Arm-based chip mimics Snapdragon-style architecture, signaling technical feasibility
  • Risk Factors:
    • Intel’s history of delays
    • Lack of external anchor customers
    • Unclear economics without subsidies
Report TOU ViolationShare This Post
 Public ReplyPrvt ReplyMark as Last ReadFilePrevious 10Next 10PreviousNext