SI
SI
discoversearch

We've detected that you're using an ad content blocking browser plug-in or feature. Ads provide a critical source of revenue to the continued operation of Silicon Investor.  We ask that you disable ad blocking while on Silicon Investor in the best interests of our community.  If you are not using an ad blocker but are still receiving this message, make sure your browser's tracking protection is set to the 'standard' level.
Technology Stocks : ASML Holding NV
ASML 1,017-1.1%Nov 7 9:30 AM EST

 Public ReplyPrvt ReplyMark as Last ReadFilePrevious 10Next 10PreviousNext  
From: BeenRetired10/5/2025 10:23:58 AM
1 Recommendation

Recommended By
Sam

  Read Replies (1) of 42355
 
Absolutely, Mike—it's not just safe to say that 100+ entities contribute to EUV lithography improvements, it's likely a conservative estimate.

The Expanding "EUV Village"

The term “EUV Village” has become shorthand for the sprawling ecosystem of companies, labs, and suppliers working to advance EUV lithography. It’s no longer just ASML and its Tier 1 partners—now it includes:
Toolmakers & Subsystem Innovators
  • ASML (scanners, metrology, source)
  • Zeiss (optics)
  • Trumpf (drive lasers)
  • Cymer (light sources)
  • Veeco, Rudolph, Onto Innovation (mask inspection, deposition, metrology)
Materials & Chemistry
  • JSR, Tokyo Ohka Kogyo, DuPont, Inpria (resists, underlayers)
  • Merck, Shin-Etsu, Fujifilm, Sumitomo (photoresist chemistry, filtration)
Mask Infrastructure
  • Toppan, DNP, Photronics, Hoya (blank masks, pellicles)
  • KLA, Applied Materials, Hitachi High-Tech (inspection, repair, actinic tools)
Foundries & Integrators
  • TSMC, Samsung, Intel, SK Hynix, Micron, GlobalFoundries
  • Each has internal R&D teams working on dose control, defect mitigation, and multi-patterning.
Academia & National Labs
  • IMEC, CEA-Leti, Fraunhofer, Berkeley Lab, NIST, SRC
  • These groups push resist physics, stochastic modeling, and high-NA readiness.
Niche Specialists
  • Startups and SMEs focused on:
  • Pellicle durability
  • Stochastic defect modeling
  • EUV-compatible etch/deposition
  • Mask cleaning and contamination control
  • Throughput modeling and dose optimization
Why the List Exploded
  • High-NA EUV demands new materials, optics, and metrology.
  • Stochastic defects and line-edge roughness require novel solutions.
  • Yield and uptime pressures force innovation in every subsystem.
  • AI and HPC demand pushes foundries to scale EUV faster than ever.
So yes—100+ is not just plausible, it’s likely understated. If you want, I can help map out the most strategic players by domain (e.g., pellicle vs resist vs metrology) or track which ones are most relevant to OpenAI’s chip ambitions.

Yes, Mike—EUV is ramping faster and more broadly than ever, and that’s largely due to the massive, multi-domain support across the “EUV Village.” What used to be a niche, high-risk bet is now a global industrial movement.

Why EUV Is Ramping So Well

1. ASML’s Throughput Breakthroughs
  • The NXE:3800 and upcoming High-NA EXE:5200 scanners are pushing source power, stage speed, and uptime.
  • eScan 2200 boosts inspection throughput, enabling faster mask validation and defect control.
2. Foundry Commitment
  • TSMC, Samsung, Intel, SK Hynix, Micron*—all are scaling EUV across logic and memory.
  • EUV is now used in DRAM, HBM, and NAND, not just leading-edge logic nodes.
3. Materials & Mask Ecosystem
  • Resists (Inpria, JSR), pellicles (Mitsui, ASML), and mask blanks (Toppan, DNP) are maturing fast.
  • Stochastic defect mitigation is now a shared priority across academia and industry.
4. AI & HPC Demand
  • OpenAI’s Stargate, Nvidia’s Blackwell, and hyperscaler roadmaps are driving unprecedented wafer volume.
  • EUV is essential for power-efficient, high-density AI accelerators.
5. Government & Academic Support
  • U.S. CHIPS Act, EU IPCEI, and Japan’s METI funding are backing EUV infrastructure.
  • IMEC, CEA-Leti, and Fraunhofer are pushing resist physics and High-NA readiness.
Result: EUV Is No Longer Bottlenecked
  • Tool availability is up, thanks to ASML’s throughput and uptime gains.
  • Yield and defect control are improving, making EUV viable for volume production.
  • Cost per wafer is dropping, especially with multi-patterning alternatives fading.
You're right to sense the momentum—EUV is no longer just ramping, it’s becoming the backbone of advanced semiconductor scaling. Want to map how High-NA will shift the balance between TSMC, Intel, and Samsung by 2027?

*Great catch, Mike—and you're absolutely right to flag that omission. Rapidus and Japan Semiconductor Manufacturing (JSM) are increasingly relevant players in the EUV ecosystem, especially as Japan reasserts itself in advanced logic and memory.

Rapidus: Japan’s Flagship Logic Foundry
  • Backed by METI and major Japanese firms (Toyota, Sony, Kioxia), Rapidus is building a 2nm-capable fab in Hokkaido with IBM process IP and ASML EUV tools.
  • They’ve committed to High-NA EUV adoption, making them one of the few non-U.S./non-Taiwan entities in that elite club.
  • Rapidus is part of Japan’s strategic push to rebuild domestic chip sovereignty, and their EUV ramp is central to that.
JSM: Japan Semiconductor Manufacturing
  • Joint venture between Kioxia and Western Digital, focused on NAND flash.
  • While not a logic foundry, JSM is scaling EUV for advanced 3D NAND, especially as vertical scaling hits physical limits.
  • Their EUV adoption supports HBM and AI storage architectures, indirectly feeding into hyperscaler and OpenAI demand.
Why They Weren’t in the First Pass
  • My earlier summary focused on the most visible EUV contributors in logic and DRAM (TSMC, Samsung, Intel, SK Hynix, Micron).
  • Rapidus and JSM are newer entrants, and their EUV impact is still unfolding—but they absolutely belong in the conversation.
If you’re tracking Stargate’s geopolitical sourcing or want to map how Japan’s EUV ramp compares to Korea’s and the U.S.’s, I can lay that out next.
Report TOU ViolationShare This Post
 Public ReplyPrvt ReplyMark as Last ReadFilePrevious 10Next 10PreviousNext