SI
SI
discoversearch

We've detected that you're using an ad content blocking browser plug-in or feature. Ads provide a critical source of revenue to the continued operation of Silicon Investor.  We ask that you disable ad blocking while on Silicon Investor in the best interests of our community.  If you are not using an ad blocker but are still receiving this message, make sure your browser's tracking protection is set to the 'standard' level.
Technology Stocks : Helix Technology, a cold play on semiconductor equipment
HELX 35.59-0.2%Nov 20 4:00 PM EST

 Public ReplyPrvt ReplyMark as Last ReadFilePrevious 10Next 10PreviousNext  
To: mopgcw who wrote (1163)7/4/2004 10:59:57 PM
From: mopgcw  Read Replies (1) of 1227
 
pt II:

ADVANCED ENERGY INDUSTRIES (AEIS; IL/N) earnings expectations: Advanced Energy is
reporting June-quarter earnings on July 21st after the market close. We forecast revenues of $110
million (+5% sequentially) with earnings per share of $0.24, versus the Street consensus earnings
per share estimate of $0.25. Our checks nearing the end of the quarter with subcomponent
suppliers indicated that the business outlook remains relatively unchanged from the beginning of
the quarter. We think one of the keys to AE's call will be any progress management can cite around
reducing the cost structure of the business, particularly reducing the dual manufacturing costs
associated with having facilities in both the US and China. Another key area of focus will be the
number of products that customers have qualified to be manufactured in China because as that
number increases, the company will have more flexibility to decrease the cost structure in the US.
We also believe the Street will pay close attention to AE's forecasted Q3 growth relative to MKS'
forecasted Q3 growth, as Street concerns around share loss persist.

Recent developments: On May 18, Advanced Energy announced that an equipment supplier to the
media/data storage industry has selected AE's Pinnacle dual- output DC power supply for its
magnetic disk sputtering system. On June 29, Advanced Energy introduced the Ovation very-high
frequency power delivery system. The Ovation system is designed for such applications as
sub-90nm and sub-65nm etch applications.

Company profile: Founded in 1981 with a $35,000 second mortgage on the founder and current
CEO's home, Advanced Energy's revenues grew to $360 million in 2000. AE manufactures and
markets power conversion and control systems for semiconductor, data storage, and other
plasma-based thin-film manufacturing equipment OEMs. The largest consumers of plasma power
sources are merchant semiconductor equipment providers, who use them for etch, PVD, PECVD,
strip and other process equipment. Headquartered in Fort Collins, Colorado, Advanced Energy
employs 1,547 individuals worldwide.

LAM RESEARCH (LRCX; IL/N) earnings expectations: Lam Research will report June-quarter
(fourth fiscal quarter 2004) earnings on July 21st after the market close. We model revenues of
$300 million (up 30% sequentially) and earnings per share of $0.25, versus the Street consensus
earnings per share estimate of $0.26. We forecast June-quarter orders of $400 million (up 14%
sequentially), essentially in-line with management's guidance for +10% to + 15% sequential order
growth. Lam management has highlighted throughout the quarter that its orders will outgrow the
industry in Q3. If the Street is expecting at least 5% sequential growth for the broader industry, by
definition Lam's orders should grow about 10% sequentially in Q3 to $440 million. We would
expect management to maintain its typically bullish tone on the earnings call. Several other topics
that may arise on the call include, the timing for the transition to the company's new CFO, Martin
Anstice, post the retirement of former CFO, Mercedes Johnson. Another issue that the Street may
question is the continued sale of significant shares by the company's CEO, Jim Bagley.
Recent developments: On May 20, Lam Research announced selection by Infineon Technologies as
2003 Front-end Supplier of the Year. Suppliers are judged for overall performance on five criteria:
purchasing, quality, logistics, technology, and business management.

Company profile: Lam's principal concentration has been etch (we estimate about 75% of total
revenues in FY2003), the process step following deposition where a suspended plasma is used to
remove excess materials, leaving only the desired circuit pattern. Its 1997 acquisition of OnTrak
Systems, a maker of chemical mechanical planarization (CMP, we estimate about 5% of total
revenues in FY2003) cleaning and polishing equipment broadened Lam's product offerings.
Founded in 1980 and headquartered in Fremont, California, Lam employs 2,100 worldwide.

AXCELIS TECHNOLOGIES (ACLS; IL/N) earnings expectations: Axcelis is reporting
June-quarter earnings on July 28th after the market close. We model revenues of $155 million (up
16% sequentially) with earnings per share of $0.30, versus the Street consensus earnings per share
estimate of $0.26. We model 2Q2004 gross orders of $165 million (up 12% sequentially),
excluding the Sumitomo Eaton Nova (SEN) joint venture. We expect Axcelis to maintain its
consistently bullish tone and highlight continued opportunities, particularly in Japan, in H2'04.

Management has previously commented that order growth could slow in the third quarter, but we
believe Street expectations have been raised since management made that comment due to the
supposed pick-up in business over the last several weeks. We are doubtful that Axcelis will
ultimately meet the heightened expectations, but because the company does not provide explicit
order guidance it may take some time for that view to play out. We would expect management to
address its recently announced single-wafer high current implanter, particularly given that Applied
is introducing a competing tool at SEMICON West.

Recent developments: On May 3, Axcelis Technologies announced the appointment of Stephen
Bassett as senior vice president and chief financial officer. Bassett has served as the company's
interim CFO since last year and has previously held positions at Ezenia! and Ernst and Young. At
its analyst meeting on May 20, Axcelis Technologies reiterated its guidance for the second fiscal
quarter. The company expects net revenues to be between $147 million and $152 million with
earnings per share expected to be between $0.23 and $0.27.
Company profile: Axcelis Technologies was founded in 1978, is dedicated to the design and
manufacture of high current, medium current and high energy ion implantation equipment, fast
ramp vertical furnaces and rapid thermal processing systems, as well as photostabilization and
photoresist stripping equipment. Spun-out of Eaton Corporation in July, 2000 and headquartered in
Beverly, Massachusetts, Axcelis employs 1,500 individuals worldwide. Axcelis has manufacturing
facilities in Beverly and Rockville, Maryland, as well as in Tokyo, Japan through its joint venture
Sumitomo Eaton Nova.

BROOKS AUTOMATION (BRKS; IL/N) earnings expectations: Brooks is reporting June-quarter
(third fiscal quarter 2004) earnings on the morning of July 29th. We model calendar second-quarter
revenues of $150 million (up 9% sequentially) with earnings per share of $0.29, versus the Street
consensus earnings per share estimate of $0.30. We model calendar second quarter gross orders of
$160 million (up 5% sequentially). Recall that the company had indicated on its last quarterly
earnings call that while quoting activity remained strong visibility was low. We expect the
company to indicate that orders will grow about 5% sequentially in the third-calendar quarter
(about $170 million in orders). A key to Brooks' call will be gross margins. Recall that the
company significantly disappointed the Street by missing its previous gross margin targets during
the first calendar quarter, which it attributed to product mix. We therefore believe that the Street
will be focused on margin improvement as a driver for the stock. While we would expect
management to maintain its bullish tone we would highlight that similar to other subcomponent
suppliers, we would expect the equipment automation business to be flattish over the next several
quarters, inline with our expectation for flattish semi OEM shipments.

Recent developments: On May 3, Brooks announced that on April 28, 2004 it was notified by letter
that a legal settlement had been concluded against it in Israel in the amount of approximately
$700,000. The legal proceeding arose out of a dispute between PRI Automation (prior to the
acquisition of PRI by Brooks) and an Israeli engineering services firm. Brooks anticipates making
payment of the full amount of the settlement to the Israeli firm during May 2004. Because this
resolution provides additional evidence with respect to the expense associated with the previously
disclosed legal proceeding, Brooks will record the expense associated with the payment of this
settlement in the fiscal quarter ended March 31, 2004. The net impact of this settlement is that
GAAP EPS for the March quarter was revised to $0.14 from $0.15. On May 20, Brooks (Canada)
gave notice to holders of its exchangeable shares that it has established a date for the automatic
redemption of all of the outstanding shares. The effective date of the purchase will be July 23,
2004. On June 2, Brooks said that its chairman and CEO, Robert Therrien, will transition the
position of CEO to the company's current COO, Edward Grady, effective October 1, 2004.

Therrien will remain as chairman of the Board of Directors and serve as a consultant to the
company following his retirement on December 31, 2004. On June 21, Brooks announced that its
Life Sciences Group was awarded a five-year Federal Supply Schedule contract with the General
Service Administration of the U.S. Government. On June 28, Brooks Software, a division of
Brooks Automation, announced a solution agreement with HP under which Brooks will work as
an applications solutions provider with HP to provide manufacturing and enterprise performance
management solutions.

Company profile: Brooks Automation is a supplier to the semiconductor, data storage, flat panel
display, and other precision electronics industries of tool automation equipment (approximately
50% of revenues), factory hardware automation equipment (approximately 25%), and factory
automation software (approximately
25%). Brooks sells its automation solutions primarily to semi original equipment manufacturers
(OEMs), such as KLA-Tencor, Novellus, Lam, and Applied and semi manufacturers, such as Intel.
Brooks was founded in 1978 in Chelmsford, Massachusetts, went public in 1995, and employs
about 1,935 worldwide.

KLA-TENCOR (KLAC; OP/N) earnings expectations: KLA is reporting June- quarter (fourth fiscal
quarter 2004) earnings on July 29th after the market close. We model revenues of $460 million (up
18% sequentially) with earnings per share of $0.46, versus the Street consensus earnings per share
estimate of $0.45. We model calendar 2Q gross orders of $650 million (up 7% sequentially). Recall
that the company had guided for 2CQ order growth of - 15% to +10% sequentially indicating that
where orders ended up for the quarter depended upon a few large orders, which we believe to be
from TSMC and Samsung. We believe that the company will print between 5% and 10%
sequential order growth, as we understand that business activity was strong for KLA during the
quarter. We expect the company to be typically conservative in its guidance, which could
disappoint heightened Street expectations. We believe a reasonable expectation for KLA's CQ3
order guidance is flat to up 5% sequentially. We also believe that this is likely the last quarter for
which KLA will provide order guidance, as the company is likely to suspend that practice
beginning in the September-quarter.

Recent developments: On April 30, KLA-Tencor announced that Gary Dickerson has resigned
from his position as president and chief operating officer to take a personal leave of absence.

Effective immediately, Ken Schroeder, chief executive officer, will assume Mr. Dickerson's
responsibilities as president. On May 10, KLA-Tencor was ranked the fifth best provider of
workforce development initiatives in the US in Training Magazine's 2004 Top 100 award. On June
14, KLA-Tencor introduced the Surfscan SP2 for surface inspection at 65-nanometer and below
technology nodes. On June 24, KLA- Tencor introduced its AF-LM 300, a true line monitoring
solution for trench depth and surface planarity process control based on atomic force microscopy
(AFM).

Company profile: KLA-Tencor's systems provide feedback so that wafer fabrication problems can
be identified, addressed and contained, enabling semiconductor manufacturers to improve yields.
KLA-Tencor's wafer inspection equipment (44% of FY2003 revenues) inspects silicon wafers for
defects throughout the fabrication process by analyzing a digitized "white field" visual image of the
wafer or a "dark field" reading of scattered light from an angled laser to quickly find, count, and
characterize particles and other surface defects on silicon wafers. KLA-Tencor's metrology
products (16%) include surface profiling, stress measurement and wafer characterization systems,
including critical dimension scanning electron microscopes (CD-SEMs). KLA-Tencor's reticle
inspection division (RAPID, 14%) makes systems to inspect the quartz plates through which light
is projected to create circuit patterns on wafers. Service and other make up the remaining 26% of
revenues. Founded in 1975 and headquartered in San Jose, California, KLA-Tencor employs 5,005
worldwide.

APPLIED MATERIALS (AMAT; IL/N) earnings expectations: Applied Materials is reporting
July-quarter (third fiscal quarter 2004) earnings on August 17th after the market close. We model
$2.2 billion in revenues (up 9% sequentially) with earnings per share of $0.25, in line with the
Street consensus estimate. We model gross orders of $2.45 billion (up 11% sequentially) with
cancellations of $25 million, yielding net orders of $2.425 billion.

While we will have a more detailed preview of Applied's report after its July quarter closes, we
currently expect the company to maintain its bullish tone on the broader cycle but we believe
management will be unable to support that bullish tone with substantive evidence of substantial
sequential order growth. We believe management could guide to 5% to 10% sequential order
growth for the October-quarter.

Recent developments: On May 19, Applied announced that it has shipped more than 750 Applied
Producer CVD (chemical vapor deposition) systems. On May 26, Applied and Praxair Electronics,
a division of Praxair, announced a joint initiative to provide fab commodity consumables services
to semi manufacturers. The commodity consumables services, which will be marketed by Applied,
offers a one-stop shopping service for a range of generic commodity consumable items that are
used to maintain and operate wafer fabrication equipment. On June 3, Applied announced that 1st
Silicon of Malaysia purchased its Applied Centura SiNgen LPCVD and Applied Centura Radiance
RTP systems for fabricating advanced logic, flash and embedded flash chips in its Fab 1 facility in
Kuching. On June 14, Applied acquired all of the outstanding stock of Torrex for an undisclosed
cash amount. The acquisition is intended to enable Applied to provide Atomic Layer Deposition
(ALD) and Low Pressure Chemical Vapor Deposition (LPCVD) applications. On June 8, Applied
received the X Initiative's 2004 Design-to- Manufacturing Catalyst Award. The X Initiative (a
semiconductor design- chain consortium consisting of more than 40 member companies) selected
Applied Materials for its contributions in developing advanced manufacturing proof points using
the X Architecture. On June 9, AKT, an Applied Materials company, introduced the AKT-4K
Electron Beam Array Tester (EBT) for pixel array testing of TFT-LCD panels on seventh
generation substrates. On June 15, Applied shipped its 100th Applied Centura Ultima HDP-CVD
system for 300mm wafers. June 22, Applied announced an agreement with Phoenix Silicon
International of Hsinchu, Taiwan, to provide 300mm test wafer reclaim services to semiconductor
manufacturers. Under the agreement, Applied will be responsible for global sales and marketing of
PSI's 300mm wafers, and will also provide new technology and equipment to PSI to meet the wafer
requirements of 300mm fabs. On June 29, Applied introduced the Quantum X ion implanter, a
high-tilt single-wafer high- current system.
Company profile: As the largest semiconductor equipment company in the world, Applied
Materials was the first equipment company to post over $1 billion in revenues in 1993 on its way to
$6 billion in calendar 1999. Applied addresses most of the "front-end" wafer fabrication equipment
market, with roughly 25% market share, and is the market leader in single- wafer multi-chamber
processing equipment with its Precision 5000, Centura and Endura platforms. Applied's recent
acquisition of Etec Systems extends Applied's front-end reach into the mask pattern generation
equipment market. Applied manufactures equipment for chemical and physical vapor deposition
(CVD and PVD, 23% and 25% of revenues, respectively), etch (21%), ion implant (2%), rapid
thermal processing (RTP, 3%), process diagnostics (3%) and chemical mechanical planarization
(CMP, 8%). Applied Materials was founded in 1967 and is based in Santa Clara, California.

CREDENCE SYSTEMS (CMOS; U/N) earnings expectations: We model July-quarter revenues of
$170 million post the NPTest acquisition that closed during the quarter with earnings per share of
$0.13 (including the amortization of goodwill and purchased intangible assets) and $0.20 excluding
the amortization of goodwill and purchased intangible assets, versus the Street consensus earnings
per share estimate of $0.19 (which excludes amortization).
We expect the company to continue to highlight its integration strategy for NPTest and what it has
accomplished in that strategy thus far. Recall that Credence will replace its SOC-tester the Octet
with NPTest's Sapphire platform. We understand that the company has met with its cust
omers and discussed the transition from the Octet to the Sapphire but the company has yet to begin
to actually transition customers to the new platform. We continue to believe that Credence is facing
several simultaneous challenges as the company is focused on the replacement of the SOC test
platform, which may cause it to lose some share to its competitors, while also attempting to reduce
a significant amount of expenses from the combined operating model. We also believe that
weakness in the flash memory market could present a challenge for Credence's Kalos line of flash
memory testers, which represent about 30% of sales.
Recent developments: On May 28, Credence announced the closure of the NPTest acquisition.

Credence acquired all of the outstanding common stock of NPTest in a combined stock and cash
transaction. Each share of NPTest common stock outstanding was converted, directly or indirectly,
into the right to receive 0.80 of a share of Credence common stock and $5.75 in cash. All
outstanding options to purchase NPTest common stock were automatically converted into
approximately 4.1 million options to purchase Credence common stock. On June 22, Credence
Systems announced that it was ranked the top automated test equipment supplier and fourth among
the world's 10 Best Test & Material Handling Equipment companies in the VLSI Research 2004
Customer Satisfaction Survey.

Company profile: Founded in 1978, public in 1993, based in Fremont, California, Credence
designs, manufactures, sells and services automatic test equipment, or ATE, used for testing
semiconductor integrated circuits, or ICs. Credence also develops, licenses and distributes related
software products. It serves a broad spectrum of the semiconductor industry's testing needs
through a wide range of products that test digital logic, mixed-signal and non-volatile memory
semiconductors. Credence utilizes its proprietary technologies to design products which are
intended to provide a lower total cost of ownership than many competing products currently
available while meeting, the increasingly demanding performance requirements of today's ATE
market. Credence products are primarily designed to test semiconductors that are produced in high
volume. The company's customers include major semiconductor manufacturers as well as
assembly and test services companies. Credence was among the first ATE companies to make
extensive use of complimentary metal oxide silicon (CMOS...hence the ticker symbol)
semiconductors in its tester circuitry instead of traditional ECL and bipolar. In May 2004, Credence
acquired NPTest, a supplier of high-end SOC test systems.

I, Jim Covello, hereby certify that all of the views
Report TOU ViolationShare This Post
 Public ReplyPrvt ReplyMark as Last ReadFilePrevious 10Next 10PreviousNext